当前位置:无忧公文网 >范文大全 > 征文 > 基于DDS技术的信号源设计

基于DDS技术的信号源设计

时间:2022-05-03 17:25:03 浏览次数:

摘要:信号源是一类十分重要的仪器,在测控、通信、导航、雷达、医疗等领域有着广泛的应用。该文首先对直接数字合成(DDS)原理进行分析,提出了一种基于DDS技术的信号源实现方案,可输出正弦波、三角波和方波。最后,对所设计的信号源进行测试,并对测试结果进行分析。

关键词:信号源;直接数字合成;AD9833芯片

中图分类号:TP23 文献标识码:A 文章编号:1009-3044(2014)05-1098-04

Design of Signal Source Based on DDS Technology

MO Hong-zhi1, GAN Jing-zhong2

(1.Education Technology Center, Yulin Normal University, Yulin 537000, China; 2.School of Computer Science, Yulin Normal University, Yulin 537000, China)

Abstract: Signal source is very important in modern electronic instruments. It is widely applied in many fields such as communication, navigation, radar, measure and control. This paper firstly analyzes the basic principle of Direct Digital Synthesis (DDS), and then puts forward a scheme of realizing Signal source by using DDS technology. The Signal source can output sine wave, square wave, triangle wave. Finally, verify the parameters of the Signal source and analysis test results.

Key words: Signal sourc; Direct digital synthesizin; AD9833 MCU

1 概述

信号源是一类十分重要的仪器,在测控、通信、导航、雷达、医疗等领域有着广泛的应用[1]。利用波形发生器输出的信号,可以对元器件的性能及参数进行测量,也可以作为信号激励源使用。DDS是第三代频率合成方法,主要通过一个参考频率源产生多种频率。DDS具有高速频率转换、高分辨率、高稳定度、低相位噪声等特点[2]。随着数字频率集成电路和微电子技术的发展,直接DDS技术在很多领域得到广泛使用。

2 DDS工作原理

DDS的基本原理是,在高速存储器中放入正弦函数-相位数据表格,经过查表操作,将读出的数据送到高速DAC产生正弦波。可编程DDS系统原理框图如图1所示:

图1 DDS基本原理框图

可编程DDS系统由频率控制字、相位累加器、正弦查询表、数/模转换器和低通滤波器组成。参考时钟[Fc]为高稳定度的晶体振荡器,其输出用于同步DDS各组成部分的工作。DDS系统的核心是相位累加器,由一个加法器和一个N位相位寄存器组成。每来一个外部参考时钟,相位寄存器的输出就增加一个步长的相位增量值,加法器将频率控制数据与累加寄存器输出的累加相位数据相加,把相加结果送至累加寄存器的数据输入端。相位累加器进入线性相位累加,累加至满量程时产生一次计数溢出,这个溢出频率即为DDS的输出频率。波形存储器包含一个正弦波周期的数字幅度信息,每一个地址对应正弦波中[00]~[3600]范围的一个相位点。查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC 输出模拟量。低通滤波器平滑并滤除不需要的取样分量,输出频谱纯净的正弦波信号[3]。

对于计数容量为[2N]的相位累加器和具有[M]个相位取样点的正弦波波形存储器,若频率控制字为[K],输出信号频率为[Fout],参考时钟频率为[Fc],则DDS系统输出信号的频率为[4]:

[Fout=K*Fc/2N] (1)

输出信号的频率分辨率为

[Fmin=Fc/2N] (2)

由采样定理可知,DDS输出的最大频率为

[Fmax=Fc/2] (3)

频率控制字可由以上公式推出

[K=Fout*2N/Fc] (4)

3 DDS信号源的系统方案

本系统的设计是基于直接数字频率合成技术,采用单片机来控制直接数字频率合成器芯片(DDS),通过改变DDS内部编程控制器所选的操作模式、相位累加器的位数、频率控制字,以产生频率稳定的信号;在后级上,采用模拟乘法器,对信号进行调理放大,最终经过椭圆滤波器对信号进行滤波输出。信号源可输出频率、幅度、偏置均可调的正弦波、方波和三角波,输出信号的相应参数可通过液晶显示。系统方案框图如图2所示:

图2 系统方案框图

4 硬件设计

DDS信号源硬件由五个模块组成:单片机控制模块、键盘与显示模块、数字合成模块、放大输出模块、滤波模块构成。

4.1 单片机控制模块

单片机选用STC89C52,它是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash 存储器。在单芯片上,拥有灵巧的8位CPU 和在系统可编程Flash,使得STC89C52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。

4.2 键盘与显示模块

键盘电路共有十六个按键,使用了单片机八个IO口来实现。显示模块选用具体型号为YJD12864C-1的液晶,附带中英文字库,方便用户对信号源输出的波形,频率,幅度等进行控制。

4.3 数字合成模块

AD9833是一款低功耗、可编程波形发生器,仅需要一个参考时钟、一个低精度电阻和去耦电容就可产生高达12.5MHz的正弦波。输出的频率和相位可通过软件编程,易于控制。AD9833具有两个频率选择寄存器,一个相位累加器,两个相位偏移寄存器和一个相位加法器。AD9833支持SPI总线,可用单片机对其进行读写操作[5]。

4.4 输出放大偏置电路

根据AD9833输出电压幅值为0.5Vpp且偏置电压为+0.25V,设计了偏置调节和幅值调节功能。该功能由一片模拟乘法器芯片完成,综合考虑参数性能后,选用ADI公司的AD835作为乘法芯片。其输出电压公式:

W=X×Y-Z。

其中X为AD9833输出电压幅值,Y为幅值调节电压,Z为偏置调节电压,从而实现对信号源的幅度和偏置的调节。

4.5 输出滤波

经乘法器后,幅值和偏置已经调节完成,信号已基本成型,最终通过滤波器输出。本设计采用7阶椭圆滤波器进行滤波,通过滤波器设计软件设计。

5 软件设计

采用单片机STC89C52对AD9833进行控制时,首先需要进行单片机的端口初始化,AD9833初始化,主程序流程如图3所示。

5.1 AD9833控制

5.1.1 AD9833的初始化

AD9833设置不当容易导致工作不稳定或故障,所以初始化非常重要。在主程序开始的时候首先初始化AD9833的频率/相位寄存器,使它的内容重新置零。当AD9833初始化时,为了避免DAC产生虚假输出,控制寄存器的RESET位必须置为1,直到配置完毕,需要输出时才将RESET位置为0,RESET为0后的8~9个MCLK时钟周期可在DAC的输出端观察到波形。AD9833初始化程序流程如图4所示。

图4 AD9833初始化序流程图

5.1.2 向AD9833寄存器写入数据

AD9833支持三线SPI接口,可通过这三根接口线将数据串行写入AD9833的寄存器。在串行时钟SCLK(即程序中的DDS_CLK)的作用下,数据以16位的方式加载到AD9833上。 FSYNC引脚(即程序中的DDS_EN)是使能脚,低电平有效。进行串行数据传输时,FSYNC脚必须置低。FSYNC置低后,在16个SCLK的下降沿数据被送到AD9833的输入移位寄存器。AD9833串行时序如图5所示。

图5 AD9833串行时序

6 测试结果

根据前面介绍的设计方案,研制了DDS信号源,使用泰克GW GDS-815C数字示波器(带宽100MHz)对输出的正弦信号进行测试。

6.1 频率特性测试

测量方法:通过键盘设置固定的幅度(2VPP),只改变其频率值,然后用示波器观察输出信号的频率,填入表1。

表1 频率特性测试结果

[设置值(Hz)\&测量值(Hz)\&相对误差(%)\&100\&99\&1%\&1000\&998\&0.2%\&10000\&10000\&0%\&100000\&100300\&0.3%\&1000000\&105000\&0.5%\&]

由表1可知,正弦波输出频率的误差约在0.5%~1%之间。产生误差主要由于两个方面的原因:①有源晶振带来的误差,加上有源晶振在工作时由于温度的变化造成的不稳定;②电源干扰,原因是电源并不具有理想的稳定性。

6.2 幅度特性测试

测量方法:通过键盘设置固定的频率(频率1KHz),只改变其幅度值,然后用示波器观察输出信号的幅度值,填入表2。

表2 幅度特性测试结果

[设置值(Vpp)\&测量值(V)\&相对误差(%)\&1.5\&1.55\&3.3%\&2\&2.09\&4.5%\&]

由表2知,正弦波在频率和偏置不变的情况下,输出幅度的误差约在3.3%~4.5%之间。产生误差主要由于两个方面的原因:①高速运放的带宽不够宽,从而影响了信号的频率特性;②地线的走线设计不合理,从而造成干扰。

6.3 偏置电压特性测试

测量方法:通过键盘设置固定的频率和固定的幅度值(频率100KHz,幅度2VPP),只改变偏置电压,然后用示波器观察输出信号的偏置电压值,填入表3。

表3 偏置电压特性测试结果

[设置值(mV)\&测量值(mV)\&相对误差(%)\&100\&127\&27%\&200\&239\&19.5%\&300\&350\&16.7%\&400\&463\&15.8%\&500\&572\&14.4%\&]

由表3知,在频率和幅度不变的情况下,正弦波输出偏置的误差约在14.4%~27%之间。产生误差主要由于两方面的原因:①DA的参考电压不稳定。②由于DA的位数限制,使得输出电压有一定的误差,经后级电路放大后,使得误差更大。

7 结束语

从以上测试结果表明,设计的DDS信号源的频率、幅度、偏置均可调,且输出频率具有高稳定度。该文在对DDS基本原理进行分析的基础上,提出来DDS信号源的实现方案,并搭建DDS信号源的软硬件平台,最后DDS信号源的参数进行了验证。实验结果证明,方案可行,为DDS信号源的设计提供参考。

参考文献:

[1] 严新忠,郭略,杨静,何静.基于AD9850的可编程信号发生器的设计[J].计算机测量与控制,2006,14(9):1272-1274.

[2] 章小梅,姜茂仁,费元春.DDS杂散的抑制与仿真研究[J].信息技术, 2006,30(4):1-4.

[3] 徐伟,周杏鹏.基于AD9834的高性价比信号发生器设计[J].仪器仪表与分析监测, 2008(1):6-8.

[4] 严新忠,郭略,杨静,何静.基于AD9850的可编程信号发生器的设计[J].计算机测量与控制,2006,14(9):1272-1274.

[5] 孙莹莹,卢京阳,刘思久,贲洪奇.基于DDS与数字电位器的正弦信号发生器设计[J].电测与仪表,2012,49(7):93-96.

推荐访问: 信号源 设计 技术 DDS